Москва +7 (499) 613-7001

Санкт-Петербург +7 (812) 971-5100

Екатеринбург +7 (343) 382-0692

Aldec Active-HDL

Программа Active-HDL компании Aldec Inc. – современная система проектирования и моделирования проектов с поддержкой всех семейств программируемых логических интегральных схем (ПЛИС). Данная система обеспечивает гибкость подхода к разработке проекта и предоставляет все инструменты для проектирования сложных многоуровневых FPGA проектов. 

  • Производитель :
от 161 000 руб.

Добавить в спецификацию

Среда проектирования Active-HDL имеет интерфейсы со всеми основными программными продуктами, предоставляя разработчикам свободу в использовании тех систем проектирования, которые наиболее полно отвечают требованиям для каждого конкретного проекта. Тесная интеграция всех приложений обеспечивает полный контроль над проектом, начиная от спецификации на всем пути проектирования, заканчивая его физической реализацией.

Система Active-HDL структурно построена вокруг блока управления маршрутом проектирования, который позволяет пользователям легко получать доступ к средствам проектирования и используемым библиотекам проекта. Программа Active-HDL содержит откомпилированные и готовые к использованию библиотеки производителей ПЛИС. Блок управления проектом Active-HDL позволяет разработчику выполнять все модификации и операции над проектом из единой среды проектирования. Данная интеграция дает наилучший контроль над процессом разработки, минимизирует время и устраняет необходимость в запуске множества оконных  интерфейсов и процессов.

00_aldec.JPG

Рабочая среда проектирования. Рабочая среда проектирования позволяет одновременно открывать несколько проектов и объединять их в единый суперпроект. Мультипроектная рабочая область предоставляет среду проектирования, позволяющую пользователям управлять всеми загруженными проектами, переключаться между ними, редактировать их ресурсы и конфигурировать их независимо друг от друга. Все модули могут разрабатываться отдельно друг от друга и затем объединяться вместе как единый проект верхнего уровня. 

Расширенный маршрут проектирования. Маршрут проектирования компании Aldec не зависит от производителя ПЛИС и настраивается на любые комбинации средств логического синтеза и топологической реализации ПЛИС. Данная система проектирования позволяет разработчику цифровых систем разрабатывать проекты на ПЛИС от любого производителя в единой интегрированной среде.

Управление проектом. Система управления проектами обеспечивает быстрое и эффективное управление всеми ресурсами разрабатываемых проектов. С помощью данной системы разработчики могут:

  • добавлять, удалять, просматривать, модифицировать и выполнять другие операции над файлами ресурсов проекта.
  • просматривать содержимое рабочей библиотеки, библиотеки результатов логического синтеза и библиотеки временных параметров текущего проекта.
  • просматривать сконструированную структуру моделируемого проектного модуля.
  • просматривать объекты, определенные внутри отдельных областей моделируемого проектного модуля.
Управление библиотеками. Система управления библиотеками предоставляет все необходимые инструменты для эффективного управления всеми библиотеками в среде проектирования Active-HDL. Пользователи могут выполнять следующие операции над библиотеками и их содержимым:

  • присоединение, отсоединение и удаление библиотек;
  • редактирование логических имен библиотек;
  • сжатие и освобождение библиотек;
  • просмотр содержимого библиотек;
  • просмотр исходных файлов определенных библиотечных модулей;
  • удаление определенных библиотечных модулей;
  • поиск проектных модулей в библиотеках.

Групповая разработка. Увеличение размеров проектов вынуждает многих разработчиков ПЛИС использовать методы групповой разработки при проектировании. Active-HDL предоставляет средства повышенной производительности, например, средство управления заданиями для задач, занимающих большое время выполнения, таких как моделирование, логических синтез и топологическая реализация. Каждая задача может быть назначена на удаленный сервер или кластер других компьютеров для выполнения соответствующего задания, таким образом высвобождая компьютер разработчика для выполнения других задач. Система Active-HDL также предоставляет интерфейс к более чем 15 наиболее популярным системам управления версиями (RCS).

01_aldec.JPG

Генератор блоков. Разработчикам цифровых систем предоставляется доступ к протестированным и проверенным IP-блокам. Данная возможность позволяет использовать генератор IP-блоков для создания требуемых моделей, например:

  • арифметические функции;
  • последовательная логика;
  • блоки памяти;
  • фильтры;
  • конверторы кодов;
  • элементы для построения тестов;
  • промышленные приложения;
  • коммуникационные приложения.

Кросс отладка. Трассировка всех сигналов позволяет разработчикам выполнять кросс-отладку между временными диаграммами и блок-диаграммами. Такая трассировка  позволяет сделать обратную аннотацию проекта и получить прямой доступ как к текстовому, так и графическому представлению проекта. Одним нажатием кнопки на любом сообщении об ошибке или предупреждающем сообщении адресует разработчика непосредственно на соответствующую строку исходного кода.

02_aldec.JPG

Тестовое покрытие. Идентифицирует те части проекта, которые не исполнялись во время прогона теста. Это средство дает возможность инженеру легко определить, какие части теста требуют доработки. Средства анализа тестового покрытия компании Aldec интегрированы в ядро моделирования и поддерживают функции покрытия по строкам кода, по переключениям и интегральным покрытиям на множестве тестов.

03_aldec.JPG

Поддержка совестного моделирования. Система Active-HDL предоставляет интерфейс и возможность совместного моделирования поведенческих моделей на языках описания аппаратуры и блоков цифровой обработки сигналов в единой среде математического представления моделей высокого уровня. Прямой интерфейс с системой Simulink компании Mathworks автоматизирует процесс установки для выполнения совместного моделирования с Active-HDL.

Экспорт в формат HTML. Проекты, сделанные в среде Active-HDL, могут быть экспортированы во внешний файл формата HTML. Такой файл HTML поддерживает ту же самую структуру и иерархию проекта, что и Active-HDL, без необходимости работы с самой системой моделирования. Проекты могут разделяться среди членов рабочей группы и идеальны для документирования.

04_aldec.JPG

Преобразование кода в графику. Функция Code2Graphics позволяет генерировать графическое представление проектов, сделанных на языках VHDL или Verilog. Эта функция дает разработчикам систем ясную картину взаимосвязей между компонентами, используемыми в проекте. Она анализирует исходные файлы на языках VHDL, Verilog или в формате EDIF, и генерирует файлы блок-диаграмм, в зависимости от количества проектных объектов, модулей или элементов, найденных в анализируемых файлах. Результирующие графические файлы (блок-диаграммы или диаграммы автоматов конечных состояний) могут быть автоматически присоединены к проекту или размещены отдельно.

Профилирование проекта. Проектные блоки, занимающие большое время моделирования, могут быть легко определены с помощью функции профилирования проекта. За счет идентификации этих блоков и оптимизации тех частей проекта, которые увеличивают время моделирования, общее время моделирования проекта может быть существенно уменьшено. Моделирование может быть очень неэффективным без возможности увидеть характеристики проекта и установить деградацию моделирования.

Автоматическая генерация тестов. Система Active-HDL может использоваться для автоматической генерации тестов из графических временных диаграмм или диаграмм автоматов конечных состояний. Генератор тестов руководит последовательностью действий инженера в диалоговом режиме и создает шаблон теста либо для отдельного процесса, либо на основе IEEE Waves. Один и тот же тест может использоваться на любом уровне абстракций в процессе проектирования (поведенческом, регистровых передач или временном).

Техническая поддержка. Компания Aldec предоставляет наивысший уровень сопровождения пользователей в промышленности.  Годовая поддержка включает в себя неограниченную техническую поддержку по всему миру, ежеквартальные поставки новых версий программного продукта и его обновлений, подписку на новости и конференции, включая доступ в режиме онлайн к библиотеке технической поддержки.

Совместимость с платформами  Linux  и Unix. Система Active-HDL полностью совместима с мультиплатформенной системой моделирования Riviera компании Aldec. Использование системы Riviera дает возможность Active-HDL производить моделирование в среде многомашинных серверных систем и на других поддерживаемых платформах операционных систем, включая Linux и Unix.

РАСШИРЕННЫЕ СРЕДСТВА ОТЛАДКИ

Прогрессивный поток данных. Просмотр и отладка проекта в графическом виде и исследование физической связанности в виде блок-диаграмм как для VHDL, так и для Verilog описаний. Окно отладчика показывает межсоединения в активном проекте в иерархическом или плоском режимах во время моделирования.

Просмотр состояний памяти. Показывает содержимое памяти, определенной в проекте, как для VHDL, так и для Verilog описаний. Запоминаемые значения могут наблюдаться во время моделирования в окне графической визуализации памяти.

Проводник сигналов (Только для VHDL). Контролирует и запускает сигналы из любого блока VHDL. При этом не требуется, чтобы сигналы были  разведены через интерфейсы или объявлены в глобальных пакетах. Это особенно полезно при разработке тестов и верификации проектов.

Х-трассировка. Трассировка и просмотр событий, которые вызывают неожидаемые выходные значения во время моделирования. Обращение к Х-трассировке выполняется через окно анализа потока данных в соединении с редактором временных диаграмм. Использование Х-трассировки существенно сокращает общее время отладки.

Отладка после моделирования. Для задач, требующих большого времени выполнения, полная история всех сигналов сохраняется в файле и может быть просмотрена и отлажена позже. Получаемый после моделирования файл дает возможность разработчикам  прокручивать проект во времени вперед и назад для поиска определенных областей, которые требуют дополнительного анализа.

Функциональные возможности Aldec Active-HDL.pdf

Материала для отображения нет.

Задать вопрос